난생 처음 유튜브 동영상을 제작(?)해서 올려보았습니다.

제 취미인 축구연습도 할 겸 해서 즉흥적으로 촬영해서 편집한 후 업로드 했는데,

제 핸드폰 카메라로 촬영을 해서 화질도 구리구요... 컨텐츠도 사실 구려요 하핳.

그냥 한 번 만들어 본다는데 의미를 뒀습니다!


  근데 동영상을 편집하면서 느낀건데, 정말 동영상 편집 쉽게되는게 아니더라구요.

진짜 제 허접한 이 동영상 2개도 한 개 만들때 마다 거의 4-5시간씩은 앉아서 편집을 한 것 같습니다.

물론 제가 동영상 편집을 처음해봐서 속도가 더뎠을 수도 있지만요 하핳.


  동영상 편집에는 무료소프트웨어인 뱁믹스를 사용했습니다.

음악도 삽입할 수 있고 동영상 자르기, 나누기 기능도 있고, 무엇보다 무료로 자막을 넣을 수 있는

어마어마한 장점이 있었기 때문입니다!


  다음에 동영상을 만들때는 컨텐츠도 좀 고려하고, 또 동영상 편집에 대한 공부도 좀 해서,

좀 더 퀄리티 있는 동영상을 만들어 보겠습니다! 기대해주셔용~


  아무쪼록 제 허접한 동영상 2개 밑에 링크 해 드릴 테니 재미있게 보세요~





1. 

[튜루룹] 미국에서 축구한다_리프팅


https://www.youtube.com/watch?v=FMwv2utnTRc&t=4s



2. 

[튜루룹] 미국에서 축구한다_저글링


https://www.youtube.com/watch?v=N2JKNV_G3sM








  감사합니다!


  모아진 데이터를 그래프로 그려줘서 시각화 시켜주는 툴에는 몇 가지가 있습니다.

그 중 대표적인 것이 Matlab, Python, 등등이 있지만, 파이썬이 요즘 가장 많이 쓰이는 이유는 바로!

무료라는 이점이 있기 때문이죠.

  파이썬을 설치한 후 이제 실제로 Plot을 하기 위해서는 matplotlib과 numpy라는 라이브러리가 필요합니다.

어떻게 간편하게 다운을 받을 것인지 알려드리겠습니다.

  

  먼저 matplotlib 라이브러리가 없을 때 어떤 Error message가 뜨는지 보시죠!



  matplotlib이라는 모듈을 찾지 못했습니둥 이렇게 에러메세지가 뜨네유ㅎㅎ..

그러면 이 에러메세지를 없애기 위해 본격적으로 라이브러리를 다운 받아 봅시다!



첫번째, cmd(명령 프롬프트)를 오픈합니다.




두번째, pip install matplotlib이라고 입력합니다.


입력만 하시면 자동적으로 install이 착착착 됩니다!



이제 에러메세지가 없어지고 Plotting이 제대로 되는지 한 번 확인 해 볼까요?




에러메세지가 없어지고 제대로 Plotting을 하는 것을 보실 수 있습니다!


numpy 라이브러리도 마찬가지로 cmd(명령프롬프트)를 연 후

같은 방법으로 'pip install numpy'를 입력하시면 자동으로 설치가 됩니다!



아 참, 그리고 한 가지 더 팁이 있는데요.

Python설치를 할 때 가끔 라이브러리가 Python폴더가 아닌 엉뚱한 폴더에 설치가 되어서

제대로 작동하지 않을 경우가 있습니다.

그것을 방지하기 위해서 Python을 설치할 때,


Add Python 3.6 to PATH를 먼저 클릭하신 후,

설치를 하시면, 그 문제를 해결할 수 있답니다!

참~ 쉽죠?

이제 열심히 파이썬 공부해서 공짜로 데이터 분석 제대로 해 봅시다요~

  몇 주 전 아는 분이 이 드라마를 추천해 주셨습니다.

그 때 다운 받아 놓았었는데 잊고 살다가 갑자기 생각나서 오늘 보게 되었네요.

40분~1시간 정도의 길이여서 오늘은 한 편만 보려다가 2편을 보게 되었습니다.

보면서 굉장히 몰입도도 좋았고 긴장감도 늦출 수 없었습니다.

하지만 영어 네이티브가 아니라서... 자막에 눈길을 뺏길때에는 (특히 밑에 사진에 나온 장면 때)

배우들의 표정연기나 비언어적인 연기를 놓치기 되어서 안타까웠습니다ㅠㅠ

제탓이죠...하핳; 한 장면도 놓치지 않으려면! 자막 안보고 볼 정도로 영어공부 빡세게 해야겠찌요?^^;




  저는 영화나 드라마를 보고나면 항상 재밌었다, 연기잘한다... 이런 클리셰같은 말만 해 왔던 것 같애요.

가끔 정말 영화를 보고 비평을 하겠다고 시도해봐도 많이 해보지 않아서 그런지 결국 결론은 재밌었다 였거든요 ㅎㅎ...

근데 이 드라마는 보면서... 혹시 나도 재밌었다는 말 말고도 할 말이 있을 수 있지 않을까 해서 한번 끄적여 보려구요~


  1편을 보고 왜 이 드라마의 제목이 블랙미러인지 생각을 해 보았습니다.

지금까지는 두가지의 제 생각이 있는데요.

  첫번째는, 인간의 속은 검다. 라는 거였습니다.

거울은 사람의 얼굴이나 모든 사물을 있는 그대로 비추는 역할을 하잖아요.

그런데 블랙미러에 비춰지는 것들은 모든것이 검은색일 것 이니까

우리의 눈으로 보는 사람들은 하얗고 예쁜 모습일 지 몰라도

블랙미러라는 음... 실체를 들어내주는 것을 거치게 되면...

실제로는 세상의 모든 것들은 검고 음흉한 속마음을 가지고 있다.

이렇게 생각했어요.

  두번째는, 인간은 이기심 때문에 중요한 것을 잃고 있을 수도 있다. 라는 거였습니다.

거울의 중요한 그리고 궁극적인 목표는 있는 그대로의 모습을 거울이라는 도구를 통해 비춰주는 것일 거에요.

그런데 그 거울이 검은색이라면 실제가 왜곡된 것 일 거에요.

모든 사람이 다 그럴지는 사실 잘 모르겠지만, 최소한 이 드라마에서 나온 장면들과 또 저를 생각해 볼때에

어떤 것이 내 이익이나 내 즐거움이나 나랑 쪼~~금만 관련 있으면, 그것의 본질을 놓치고,

자신의 이익을 위한 행동을하고 결정을 하는 것 같아요.


제가 쓴 것을 이해 하셨는지 잘 모르겠네요 하핳.


그럼 마지막으로 1, 2편을 본 한 줄 소감을 쓰며 글을 마치겠습니다.


1화: 역겹다면서 왜 눈은 계속 TV를 보는겁니까?

2화: 임시방편식의 문제해결은 머지않아 더 큰 문제를 가져온다.


아 그리고 질문이 있습니다.

시즌1 1화 마지막 장면에서 수상의 아내가 왜 그렇게 행동을 한 건지 잘 이해가 안되서요...

제가 생각 하는 것은 아내가 말로는 응원을 하고 남편의 행동을 지지해 주었지만,

막상 실제로 남편이 그렇게 행동을 하고 나니 처음의 생각이 바뀌고,

남편이 한 행동을 수치스럽게 생각해서 무시하는 것 처럼 보였거든요.

사실 잘 이해가 안되요 그 아내가 왜 그랬는지...

혹시 다른 분들은 어떻게 생각하시는지 같이 의견을 나누어 보면 정말 감사할 것 같습니다!


읽어주셔서 감사합니다. 꾸벅~

  한 미국인 친구의 개가 죽어서 슬픔에 잠겼을 때...

위로 해주면서 쓸 수 있는 표현 "Get yourself together"

한국말로 마음을 추스리다 정도로 쓸 수 있을 것 같아요.


You: My dog left the world.

Me: Hey, I'm sorry... Hope you get yourself together soon.

정도로 쓸 수 있으려나요...


인용구 중에

Sometimes, you gotta fall back from everybody to get yourself together.

가끔은 너 자신을 추스리기 위해서 혼자만의 시간을 가져야 한다.

라는 말이 있습니다. 공감이 되죠~ 가끔은ㅎㅎ

정도로 해석 될 수 있겠네요!

'영어 (English)' 카테고리의 다른 글

미국 기업의 불합격 통보 E-mail  (0) 2018.01.31

  미국에서 대학원생으로 공부하면서,  

2018년 여름 인턴쉽을 알아보고 있습니다.

아직 영어나 전공이나 미국에서 인턴쉽하기에는 실력이 부족하지만,

면접 1개라도 볼 수 있을까 해서 여기저기 지원하고 있는데 쉽지 않네요 하핳...

불합격 통보를 몇개 받으면서, 미국 기업들이 지원자를 거절할 때 어떻게 Euphemism을 쓰는지를 써 보겠습니당ㅎㅎ



  첫번째 회사는 F5 Networks라는 회사에 하드웨어 엔지니어로 지원을 했었는데요. Move forward with other candidates whose experience and skills best meet the position requirements. 다른 지원자들하고 앞으로 나아가겠다고 하네요 ㅠㅠ라고 써 주셨네요. 회사가 웹 보안, 서버관리, 데이터 저장과 같은 일들을 하는 회사라서 제가 이력서에 적어 놓은 것과는 많이 관련이 없어서 이렇게 말 한 것 같습니다. 제가 좀 부지런하게 회사, 부서마다 이력서를 좀 다르게 만들었어야 했는데... 그냥 한 이력서에 다 담아서 다양한 회사에 뿌리는 식으로 하다 보니 이런 부작용이 있네요ㅠㅠ 아니면... 다른데서 그냥 자격미달이라 안 읽고 복붙해서 불합격 통보를 했을수도...주르륽




  두번째 회사는 전력계통회사로 발전기 제조부터 송신기보호제어같은 소프트웨어 적인 부분까지 다 하는 회사입니다. We wanted to inform you that we have decided to continue our search for other candidates. 다른 지원자들을 계속 찾아보겠다고 하네요 ㅎㅎ; 이 회사에는 소프트웨어 엔지니어로 지원했던 것 같은데... 제가 생각해도 아직 소프트웨어 엔지니어를 하기에는 실력과 경험이 달리는 것을 인정할 수 밖에 없겠습니다.


  두 회사를 살펴 보았는데요. 대놓고 너 불합격이라고 하는 것 보다는 기분 최대한 안나쁘게 다른 지원자들을 찾아보겠다는 식으로 완곡하게 표현하는 경향이 있네요. 제가 한국에서는 회사에 지원한 경험이 많이 없어서 한국은 어떨지 모르겠네요.

  아직 지원한 곳이 더 많이 남아있어서 어떤식으로 이메일이 올런지... 혹시 참신하게 피드백을 주는 회사가 있다면 업데이트 해서 올리겠습니다!



p.s. 영어 카테고리에 첫 글인데, 좀 어수선한 면이 있네요. 다음 글 부터는 좀 정돈되고 도움도 되는 표현들로 찾아뵙도록 하겠습니다! 뿅~

'영어 (English)' 카테고리의 다른 글

친구의 개가 죽었을 때  (0) 2018.02.01


Wait there - Yiruma

Played by d.w.lee

'취미 (Hobby) > 피아노 (Piano)' 카테고리의 다른 글

Cappuccino - 장세용  (0) 2018.01.27
When the love falls - Yiruma  (0) 2018.01.17

I2C Communication


1. Features


- 2 Signals

1) SDA (Serial Data): Signal to transmit

2) SCL (Serial Clock): Signal to synchronize Master and Slave


- Control devices by combination of SDA and SCL


- SDA's Default: 1(Pull-up)

* Once communication gets started, SDA should be changed while SCL is zero.

Because, Master and Slave are synchronized.


- SCL Packet: 9 bit (7 bit: Address + 2 bit: R/W)

- Data Packet: 9 bit (8 bit: Data + 1 bit: ACK)

* ACK is to check if data is received well.


2. General Flow


Communication starts -> Address Packet -> Data Packet -> Stop communication


3. Advantages


1) Be able to control devices with only 2 signal wires

-> Devices have to have different address (Transmitted by 'packet')


2) 1:N Communication

-> One Master chip can manage several Slave chips paralleled.


3) Synchronized communication

-> I2C Communication is not much dependent on the time unlike RS232C.

-> Even if timing is not exactly matched, data signal could be adjusted along the clock signal.


* 관련 레지스터 공부가 필요!

'Academics > Terms' 카테고리의 다른 글

Arrangement of Terms (Updated 01-16-2018)  (0) 2018.01.17


Cappuccino - 장세용

Played by d.w.lee

'취미 (Hobby) > 피아노 (Piano)' 카테고리의 다른 글

Wait there - Yiruma  (0) 2018.01.31
When the love falls - Yiruma  (0) 2018.01.17


When the love falls - Yiruma

Played by d.w.lee

'취미 (Hobby) > 피아노 (Piano)' 카테고리의 다른 글

Wait there - Yiruma  (0) 2018.01.31
Cappuccino - 장세용  (0) 2018.01.27


[Clock_Divider.vhd]


-- 1Khz Clock Divider(period:1000us) from 50Mhz clock input(period: 20ns)


library IEEE;

use IEEE.STD_LOGIC_1164.ALL;


entity Clock_Divider is

port(

    clk, reset: in std_logic;

    clock_out: out std_logic

    );

end Clock_Divider;


architecture bhv of Clock_Divider is


signal count: integer := 1;

signal tmp: std_logic := '0'; -- clock_out의 작동 유무를 결정하는 변수


begin

process(clk, reset)

begin

    if(reset = '1') then

        count <= 1;

        tmp <= '0';

    elsif rising_edge(clk) then

        count <= count+1;

        if (count = 25000) then -- count가 1부터 시작됐기 때문에 24999가 아니라 25000이다.

            tmp <= NOT tmp;

            count <= 1;

        end if;

     end if;

clock_out <= tmp;

end process;


end bhv;



[clockdv_tb.vhd]


-- Testbench for 1Khz Clock Divider(period:1000us) from 50Mhz clock input


LIBRARY ieee;

USE ieee.std_logic_1164.ALL;


ENTITY clockdv_tb IS

END clockdv_tb;


ARCHITECTURE behavioral OF clockdv_tb IS


COMPONENT Clock_Divider

PORT(

    clk : IN std_logic;

    reset : IN std_logic;

    clock_out : OUT std_logic

    );

END COMPONENT;


signal clk : std_logic := '0';

signal reset : std_logic := '0';

signal clock_out : std_logic;


-- Clock period definitions

constant clk_period : time := 20 ns;

BEGIN


-- Instantiate the Unit Under Test (UUT)

uut: Clock_Divider 

PORT MAP (

        clk => clk,

        reset => reset,

        clock_out => clock_out

        );

        

-- Clock process definitions

clk_process :process

begin

    clk <= '0';

wait for clk_period/2;

    clk <= '1';

wait for clk_period/2;

end process;


-- Stimulus process

stim_proc: process

begin

wait for 100 ns;

    reset <= '1';

wait for 100 ns;

    reset <= '0';

wait;

end process;


end;



[Simulation Result]


[Mathematics]

1. clk_period = 20ns -> f = 1/T = 1/(20*10^-9) = 50e6 = 50MHz (Input)

2. Period has been changed to 1000us <=> 1KHz

3. Rising_edge가 발생하는 Period = 20ns

4. (20e-9)*(25000) = 500e-6 = 500us

4.1) 500us에 clock_out = 1

4.2) 다음 500us에 clock_out = 0

5. Therefore, the period of new clock is 1000us. <=> 1KHz Clock Divider.


[What I learned]

1.Studied how to create test bench to simulate and visualize the code I programmed.

2.Created “clockdv_tb” testbench code for "1Khz Clock Divider from 50MHz Clock Input".

3. Simulated in Vivado and obtained the simulation result that I expected.



+ Recent posts